Я:
Результат
Архив

МЕТА - Украина. Рейтинг сайтов Webalta Уровень доверия



Союз образовательных сайтов
Главная / Предметы / Схемотехника / Основы цифровой техники


Основы цифровой техники - Схемотехника - Скачать бесплатно


 на
входе С на “0” триггеры переходят в режим хранения. В это время на  входы  D
триггеров можно подать следующее слово, например,  В=b3b2b1b0,  которое  при
появлении сигнала С=1 запишется в регистр. Считывание  слова  осуществляется
с прямых ([pic])  выходов  триггеров  (возможно  считывание  и  с  инверсных
выходов – [pic]). Для установки триггеров в  нулевое  состояние  применяется
специальная шина “Уст.  “0”,  связанная  с  асинхронными  R-входами  каждого
триггера.

                        1.2 Последовательный регистр
    Последовательным   называют   регистр,   в    котором    осуществляется
последовательный (разряд за  разрядом)  прием  и  выдача  информации.  Такой
регистр часто именуют регистром сдвига или сдвигающим регистром.
    Регистр  сдвига  представляет  собой  ряд  последовательно  соединенных
триггеров, число которых  определяется  разрядностью  записываемого  в  него
слова. По направлению  сдвига  записанной  в  регистр  информации  различают
регистры  прямого  сдвига,  т.е.  вправо  (в  сторону   младшего   разряда);
обратного сдвига, т.е.  влево  (в  сторону  старшего  разряда);  реверсивные
регистры, допускающие сдвиг в обоих направлениях.
    На рис. 2 приведен пример схемной реализации четырехразрядного регистра
сдвига вправо, построенный на синхронизируемых фронтом D-триггерах.
    Рис. 2. Регистр сдвига вправо: а) схема; б) условное изображение

    При записи в регистр двоичного слова А=а3а2а1а0 первый разряд вводимого
слова (а0) подается на  вход  крайнего  левого  триггера  (Т3),  являющегося
одновременно входом регистра в целом, и записывается в него при  поступлении
первого сигнала (импульса) синхронизации С. С  приходом  следующего  сигнала
синхронизации значение а0 с выхода разряда Q3 вводится  в  разряд  Q2,  а  в
разряд Q3 поступает а1.
    С  приходом  каждого  очередного  синхроимпульса   производится   сдвиг
поступающей   информации   на   один   разряд   вправо.   После   четвертого
синхроимпульса регистр оказывается заполненным разрядами слова  А  и  первый
разряд слова (а0) появится на выходе Q0. Если подать  на  регистр  еще  одну
последовательность из четырех синхроимпульсов, установив  при  этом  на  его
входе уровень  “0”,  то  из  регистра  (с  выхода  Q0,  являющегося  выходом
регистра в  целом)  будет  последовательно  выводиться  разряды  слова  А  и
регистр будут освобожден от хранения слова А (очищен).

    Таким образом, в процессе сдвига информации каждый триггер Тi регистра:
а) передает хранимую информацию на триггер Тi-1, б) изменяет свое  состояние
за счет приема информации от триггера Тi+1. Передача информации  с  триггера
Тi и изменение его состояния  не  могут  происходить  одновременно.  Поэтому
основная сложность реализации операции сдвига заключается  в  разделении  во
времени выполнения указанных этапов в каждом разряде триггере регистра.  Эта
сложность  исключается  за  счет  использования   синхронных   триггеров   с
динамическим  управлением   записью   (как   показано   на   рис.   2)   или
двухступенчатых триггеров, внутренняя  организация  которых  предусматривает
разделение  во  времени  этапов  приема  входной  информации   и   изменения
выходной.
    На рис.  3  приведена  схема  регистра  сдвига  влево,  построенная  на
двухступенчатых D-триггерах.  Комбинируя  схемы  сдвига  вправо  и  влево  и
используя управляющие сигналы, можно построить реверсивный регистр.

                        Рис. 3. Регистр сдвига влево

    Регистры  сдвига  (рис.  2,  3)  позволяют  обеспечить   преобразование
последовательного кода в параллельный –  достаточно  в  схеме  предусмотреть
выходы от всех разрядов (на рис. 2 показаны пунктирными линиями).
    Регистр сдвига легко превращается в кольцевой  регистр  при  соединении
выхода последнего разряда с входом первого (рис.4). Для  обеспечения  других
видов записи  и  считывания  применяются  комбинированные  регистры,  пример
реализации одного из которых  приведен  на  рис.  5.  Здесь  двухступенчатые
логические элементы  И-ИЛИ  при  V=1  обеспечивают  после  подачи  тактового
импульса на вход С передачу сигнала из i-го в  i-1-ый  триггер,  а  при  V=0
обеспечивается запись в регистр сигналов, представляющих собой  параллельный
код. Считывание записанного произвольным способом слова возможно как в  виде
параллельного кода (Q0,Q1,Q2,Q3) ,так и в виде  последовательного  кода  при
подаче четырех тактовых импульсов.


                      2. Задание на лабораторную работу
    Для экспериментального исследования наиболее распространенных регистров
используются четыре D-триггера (К155ТМ2) и ряд  логических  элементов  и  ИС
реверсивного регистра  К155ИР1,  установленных  на  лицевой  панели  стенда.
Работа регистров исследуется в  статическом  режиме,  поэтому  для  контроля
состояния триггеров можно использовать светодиоды, расположенные  в  верхней
части стенда.
    Для записи и сдвига информации в качестве источника тактовых  импульсов
используется  управляемый  генератор  одиночных  импульсов   (ГОИ),   кнопка
запуска которого выведена на лицевую панель стенда. Для установки  триггеров
в нулевое состояние  можно  воспользоваться  одним  из  источников  нулей  и
единиц, расположенных в нижнем ряду стенда.
2.1. Исследовать работу параллельного регистра.
2.1.1. Собрать схему, изображенную на рис. 1.
2.1.2. Предварительно преобразовав десятичное число, равное 10, минус  номер
вашей бригады, в двоичный код, записать  это  число  в  регистр.  Определить
необходимое для этого число тактовых импульсов.
2.1.3. Проверить правильность записи информации.
2.2. Исследовать работу регистра сдвига.
2.2.1. Собрать схему регистра, соответствующую рис. 2.
2.2.2. Последовательно  подавая  на  информационный  вход  первого  триггера
логический ноль или единицу, записать в регистр двоичный  код  числа  из  п.
2.1.2. Определить необходимое для этого число тактовых импульсов.
2.2.3. Проверить правильность записи.
2.2.4.  Контролируя  состояние  четвертого  триггера,   считать   записанную
информацию. Определить необходимое число тактовых  импульсов.  Зафиксировать
состояние триггера после каждого такта.
2.2.5. Повторить пункт 2.1.2 опыта и сохранить записанное  в  регистр  число
для выполнения следующего эксперимента.
2.3. Исследовать работу кольцевого регистра.
Кольцевой регистр (рис. 4) образуется  путем  соединения  выхода  четвертого
триггера  с  информационным  входом  первого.  Тогда  число,  записанное   в
регистр, будет циркулировать в нем под действием тактовых импульсов.
2.3.1. Собрать схему опыта и определить код числа,  возникающий  в  регистре
после подачи 1, 2, 3 и 4 тактовых импульсов.
2.3.2.  Подавая  последовательно   нужное   число   тактовых   импульсов   и
контролируя состояния  триггеров,  проверить  правильность  функционирования
регистра.
2.4. Исследовать работу комбинированного регистра.
2.4.1. Собрать два разряда комбинированного регистра, изображенного на  рис.
5.
2.4.2. Изменяя V, определяющее виды записи информации,  записать  в  регистр
число 2, представленное в двоичной системе счисления.
2.4.3. Проверить правильность записи информации.
2.5. Исследовать  работу  ИС  регистра  К155ИР1  (Справочные  данные  по  ИС
К155ИР1 приведены в Приложении).
2.5.1. Записать в регистр число из п. 2.1.2 в параллельном коде.
2.5.2. Считать записанную информацию в последовательном коде.
2.2.3. Записать в регистр число из п. 2.1.2 в последовательном коде.
2.2.4. Считать записанную информацию в параллельном коде.

                           4. Контрольные вопросы
1. Дайте определение регистра.
2. В чем отличия регистров памяти от регистров сдвига?
3. Какие типы триггеров могут быть  использованы  для  построения  схем:  а)
   регистров памяти, б) регистров сдвига?
4.  Объясните  причину   нецелесообразности   применения   Т-триггеров   для
   построения регистров памяти.
5. Изобразите схему регистра, позволяющего преобразовывать  четырехразрядный
   параллельный код в последовательный. Объясните работу схемы.
6.  Объясните  каким  образом  в  регистре   сдвига   каждый   синхроимпульс
   обеспечивает сдвиг информации ровно на один разряд.
7. Почему триггеры, синхронизируемые уровнем,  не  могут  быть  использованы
   для построения регистров сдвига?
8. Перечислите возможности  ИС  К155ИР1  и  необходимые  для  их  реализации
   действия.

                                 Приложение
    Микросхема К155ИР1(рис. П1) – четырехразрядный, сдвиговый  регистр.  Он
имеет последовательный вход данных S1, четыре параллельных  входа  D0-D3,  а
также четыре выхода  Q0-Q3  от  каждого  из  триггеров.  Регистр  имеет  два
тактовых входа [pic]и [pic]. От любого из пяти входов  данных  код  поступит
на  выходы  синхронно  с  отрицательным  перепадом,  поданным  на  выбранный
тактовый вход. Вход разрешения параллельной загрузки РЕ  служит  для  выбора
режима работы  регистра.  Если  на  вход  РЕ  подается  напряжение  высокого
уровня, разрешается работа тактовому входу [pic]. В момент прихода  на  этот
вход  отрицательного  перепада  тактового  импульса  в  регистр  загружаются
данные от параллельных входов D0-D3.
    Если на вход РЕ подано напряжение низкого  уровня,  разрешается  работа
тактовому входу  [pic].  Отрицательные  фронты  последовательности  тактовых
импульсов сдвигают данные от последовательного  входа  S1  на  выход  Q0,  а
затем на  Q1,  Q2  и  Q3,  т.е.  вправо.  Сдвиг  данных  по  регистру  влево
получится, если соединить выход Q3 и вход D2, Q2 и  D1,  Q1  и  D0.  Регистр
надо перевести в параллельный режим, подав на вход  РЕ  напряжение  высокого
уровня. Напряжение на входе РЕ можно менять только, если на  обоих  тактовых
входах уровни  низкие.  Однако,  если  на  входе  [pic]  напряжение  низкого
уровня, перемена сигнала на входе РЕ от низкого уровня к высокому не  меняет
состояния выходов.



                           Лабораторная работа 10

                         Цифровые счетчики импульсов
    Цель  работы:  изучение   схемотехнических   принципов   построения   и
экспериментальное исследование цифровых счетчиков импульсов.

                 1. Теоретические основы лабораторной работы
    Цифровым счетчиком называют функциональный узел,  который  осуществляет
счет числа поступающих не его вход импульсов, формирует  результат  счета  в
заданном коде (обычно двоичном и поэтому такие счетчики  именуют  двоичными)
и при необходимости хранит его.
    Счетчики можно классифицировать по ряду  признаков.  В  зависимости  от
направления счета различают суммирующие (с  прямым  счетом),  вычитающие  (с
обратным счетом) и  реверсивные  (как  с  прямым,  так  и  обратным  счетом)
счетчики.   По   способу   организации   переноса   различают   счетчики   с
последовательным, параллельным и последовательно-параллельным переносом.
    Конструктивно счетчики выполняются в виде совокупности ИС  Т-триггеров,
соответствующим образом соединенных  между  собой,  или  в  виде  одной  ИС,
содержащий многоразрядный счетчик. Двоичные счетчики могут быть построены  и
на   синхронных   или   двухступенчатых    D-триггерах    и    JK-триггерах,
предварительно преобразованных в Т-триггеры.
    К основным параметрам двоичного счетчика относятся:

    1.  Модуль  счета  или  емкость  счетчика  (К)  –  максимальное   число
       импульсов, которое может быть подсчитано счетчиком.

    2. Разрешающая способность или минимальное время  следования  (tсл.)  –
       временной интервал между двумя счетными импульсами, при  котором  не
       нарушается  надежная  работа  счетчика.  Этот  параметр   определяет
       максимально допустимую частоту следования счетных импульсов.

    3. Время регистрации (tp) – временной  интервал  между  началом  подачи
       счетного импульса и моментом  установления  результата  счета,  т.е.
       окончания самого длительного переходного процесса в счетчике.

                      1.1 Суммирующие двоичные счетчики
    На рис. 1 приведена схема  и  временные  диаграммы,  поясняющие  работу
четырехразрядного    суммирующего    двоичного     счетчика     с     цепями
последовательного  переноса  (инверсный  выход   i-го   разряда   (триггера)
соединен со входом  (i+1)-го  разряда).  Счетчик  построен  на  D-триггерах,
тактируемых  фронтом  синхроимпульса,  преобразованных  в   асинхронные   Т-
триггеры (инверсный выход триггера ([pic]) соединен с информационным  входом
(Di)).
    Входом счетчика служит вход крайнего левого триггера (Т0), двоичный код
результата счета формируется на выходах триггеров  Q0,  Q1,  Q2,  Q3  (Q0  –
младший, а Q3 – старший разряды результата счета). Емкость  рассматриваемого
счетчика К=24=16, поэтому максимальное показание  счетчика,  соответствующее
подаче       на       его       вход       15       счетных        импульсов
Q3Q2Q1Q0=11112=1(23+1(22+1(21+1(20=1510. 16-й счетный импульс  устанавливает
все триггеры в исходное (нулевое)  состояние,  следовательно,  шина  “сброс”
(установка “0”) необходима лишь в начале  работы  счетчика.  Так  как  после
подачи  каждого  очередного  входного   импульса   Т-триггер   переходит   в
противоположное состояние, период следования импульсов  на  выходах  каждого
разряда в два раза  больше,  чем  на  его  входе.  В  любой  момент  времени
состояние счетчика (триггеров его образующих)  однозначно  определяет  число
импульсов, поступивших на его вход.  Так,  например,  после  поступления  на
вход счетчика 3-х счетных импульсов триггеры (разряды) счетчика  перейдут  в
состояния Q3=0, Q2=0, Q1=1, Q0=1 (см.  рис.  1,  б),  т.е.  результат  счета
0011, а после 7-го счетного импульса – 0111 и т.д.


                      1.2 Вычитающие двоичные счетчики

    В вычитающих  счетчиках  каждый  очередной  счетный  импульс  уменьшает
результат счета на единицу, т.е.  обеспечивается  обратный  счет.  Изменение
направления счета при построении счетчика  на  базе  триггеров,  аналогичных
примененным  в  п.  1.1,  достигается  изменением   характера   межразрядных
соединений – вход (i+1)-го разряда соединен с прямым выходом i-го разряда.



    На рис. 2  приведена  схема  и  временные  диаграммы  четырехразрядного
вычитающего двоичного счетчика.



               Рис. 1. Суммирующий двоичный счетчик: а)схема,
               б) временные диаграммы, в) условное изображение

    Из временных диаграмм следует, что первый из последовательности счетных
импульсов устанавливает все  триггеры  в  единичные  состояния  (N=Nmax=15).
Каждый последующий счетный импульс уменьшает  результат  счета  на  единицу.
Емкость  счетчика  равна  16,  следовательно,  16-й  счетный  импульс  вновь
установит все триггеры в единичные состояния.
    Временные диаграммы (рис. 2, б) изображены с учетом вносимых триггерами
задержек  (время,  необходимое  для  переключения   триггера).   Их   анализ
позволяет  определить  динамические  параметры  счетчика:  время  следования
tсл.=4(, где ( - время переключения  триггера,  а  также  время  регистрации
tр=4(, соответствующее самому длительному переходному  процессу  –  переходу
счетчика из состояния 0000 в состояние 1111.
                     1.3. Реверсивные двоичные счетчики
    Сравнение двух рассмотренных выше схем двоичных  счетчиков  показывает,
что для перехода от режима суммирования к режиму вычитания  необходимо  вход
2,  3,  …,  n-го  триггера  переключить  от  инверсного  к  прямому   выходу
предыдущего триггера. Поэтому для  построения  схемы  реверсивного  счетчика
между его разрядами достаточно  включить  одну  из  приведенных  на  рис.  3
коммутирующих цепей. Для обоих вариантов значение входного сигнала  (i+1)-го
разряда [pic] Следовательно, выбором значения управляющего сигнала V (1  или
0) обеспечивается передача на вход (i+1)-го разряда сигнала Qi или [pic],  и
таким образом применение счетчика в режиме суммирования (V=1) или  вычитания
(V=0).  На  рис.  4  приведена  построенная  по  указанному  принципу  схема
четырехразрядного реверсивного счетчика.
                     Рис. 4. Схема реверсивного счетчика

             1.4 Счетчики с произвольным значением модуля счета
    Последовательное соединение n триггеров позволяет построить суммирующий
или вычитающий счетчик со  значением  модуля  счета  К=2n.  Часто  возникает
необходимость построить  счетчик,  модуль  счета  которого  не  равен  целой
степени основания 2, т.е. К(2n.
    На рис. 5 приведен пример схемной реализации  суммирующего  счетчика  с
К=10 (декадного счетчика).


    При поступлении на вход счетчика девяти счетных импульсов он  переходит
в состояние Q3Q2Q1Q0=1001 и на два входа ЛЭ 3И поступают  уровни  логической
единицы, а после прихода десятого  счетного  импульса  этим  ЛЭ  формируется
импульс  переноса  и  сигнал,  устанавливающий  все  триггеры   в   исходное
(нулевое) состояние. При любых других состояниях Q3Q2Q1Q0 (при счете  до  10
включительно) ЛЭ 3И не оказывает влияния на функционирование счетчика  и  он
работает в обычном режиме суммирования.


                             2. Домашнее задание
    На основе ИС D-триггеров (К155ТМ2)  спроектировать  схему  суммирующего
счетчика со значением модуля  счета  (К),  соответствующим  вашему  варианту
(см. табл. 1).
                                    Таблица 1
|№ бригады|1   |2   |3   |4   |5   |
|К        |5   |6   |7   |9   |11  |


                      3. Задание на лабораторную работу
    Для   экспериментального   исследования    наиболее    распространенных
разновидностей счетчиков используются четыре D-триггера  (ИС  К155ТМ2),  ряд
ЛЭ  и  ИС  реверсивного  счетчика  К155ИЕ7,  установленные  на  лабораторном
стенде.
    Работа счетчиков исследуется в статическом режиме, поэтому для контроля
состояний счетчиков можно использовать светодиоды, расположенные  в  верхнем
ряду стенда.
    В  качестве  источника  счетных  импульсов   использовать   управляемый
генератор одиночных импульсов (ГОИ), кнопка  запуска  которого  выведена  на
лицевую  панель  стенда.  Для  параллельной  загрузки  счетчика,   а   также
установки триггеров счетчика  в  нулевое  состояние  использовать  источники
логических “0” и “1”, расположенные в нижнем ряду стенда.
3.1. Исследовать работу суммирующего двоичного счетчика.
3.1.1. Собрать схему суммирующего двоичного счетчика (рис. 1).
3.1.2. Установить триггеры счетчика в состояние “0”.
3.1.3. Подать на  вход  счетчика  последовательно  16  счетных  импульсов  и
проконтролировать состояние счетчика (его триггеров)  после  подачи  каждого
очередного счетного импульса.
3.1.4. Результаты эксперимента занести в табл. 2.
                                  Таблица 2
|№        |Выходы счетчика       |
|счетного |                      |
|импульса |                      |
|         |Q0  |Q1  |Q2  |Q3  |
|0        |    |    |    |    |
|1        |    |    |    |    |
|…        |…   |…   |…   |…   |
|16       |    |    |    |    |

3.2. Исследовать работу вычитающего двоичного счетчика.
3.2.1. Собрать схему вычитающего двоичного счетчика  (рис.  2)  и  выполнить
действия, аналогичные п.п. 3.1.2 - 3.1.4.
3.3. Исследовать работу реверсивного счетчика.
3.3.1. Собрать схему реверсивного счетчика (рис. 4).
3.3.2. Перевести счетчик в режим суммирования и подать на  его  вход  (10-А)
счетных импульса (А - № бригады).
3.3.3. Перевести счетчик в режим вычитания  и  подать  на  его  вход  (10-А)
счетных импульса.
3.3.4. Результаты экспериментов занести в таблицы, аналогичные табл. 2.
3.4. Исследовать работу счетчика с К(2n.
3.4.1. Собрать схему счетчика, спроектированного  при  выполнении  домашнего
задания, со значением модуля счета К, соответствующим вашему варианту.
3.4.2. Подать  на  вход  счетчика  последовательно  К  счетных  импульсов  и
проконтролировать состояние счетчика (его триггеров)  после  подачи  каждого
очередного счетного импульса.
3.4.3. Результаты эксперимента занести в таблицу, аналогичную табл. 2.
3.5. Исследовать работу ИС счетчика К155ИЕ7.
3.5.1. Перевести счетчик в режим суммирования.
3.5.2. Установить триггеры счетчика в состояние “0”.
3.5.3. Подать на  вход  счетчика  последовательно  16  счетных  импульсов  и
проконтролировать  состояние  счетчика  после  подачи   каждого   очередного
счетного импульса.
3.5.4. Перевести счетчик в режим вычитания.
3.5.5. Осуществить параллельную загрузку в счетчик числа (10-А).
3.5.6. Подать на вход счетчика последовательно (10-А)  счетных  импульсов  и
проконтролировать  состояние  счетчика  после  подачи   каждого   очередного
счетного импульса.
3.5.7. Результаты экспериментов свести в таблицы, аналогичные табл. 2.

                            4. Содержание отчета
    В отчете по  каждому  пункту  задания  должны  быть  приведены:  схема;
временные диаграммы и таблица, поясняющие работу исследуемого счетчика.

                           5. Контрольные вопросы
     1. Дайте определение цифрового счетчика.
     2. В каком случае цифровой счетчик именуют двоичным?
     3. Изобразите временные диаграммы, поясняющие работу  асинхронного  Т-
        триггера.
     4.  На  основе  ИС   JK-триггеров   (К155ТВ1)   спроектировать   схемы
        трехразрядных а) суммирующего, б) вычитающего счетчиков.
     5.  Укажите  переход  между  состояниями  трехразрядного  суммирующего
        счетчика  с  последовательным  переносом,  которому   соответствует
        максимальное значение времени регистрации (tp).
     6. Замените триггеры, используемые в схеме счетчика  (рис.  1)  на  D-
        триггеры, тактируемые срезом синхроимпульса, и постройте  временные
        диаграммы для модернизированной схемы счетчика. Сделайте выводы.
     7. Действия, аналогичные указанным в вопросе 6,  проведите  для  схемы
        вычитающего двоичного счетчика (рис. 2).
     8. Спроектируйте схему трехразрядного суммирующего двоичного  счетчика
        с параллельным переносом. Какие преимущества характерны для  такого
        счетчика в сравнении со счетчиком с последовательным переносом?
     9. Какой вариант реализации межразрядных коммутирующих цепей (рис.  3)
        для  реверсивных   счетчиков   является   более   предпочтительным?
        Приведите соответствующие обоснования.
    10. Объясните работу ИС декадного счетчика К155ИЕ6.
    11. На основе ИС К155ИЕ7  спроектируйте  схему  суммирующего  двоичного
        счетчика со значением модуля счета К=100.
    12. Каким образом можно обеспечить деление частоты следования импульсов
        в заданное (К) число раз?

                                 Приложение
    Микросхемы К155ИЕ6 и К155ИЕ7 - четырехразрядные  реверсивные  счетчики,
аналогичные по структуре. Счетчик ИЕ6  (рис.  П1,а  )  -  двоично-десятичный
(декадный), а счетчик ИЕ7 (рис. П1,б) - двоичный. Импульсные тактовые  входы
для счета на увеличение СU (вывод 5) и на уменьшение СD  (вывод  4)  в  этих
микросхемах  раздельные.  Состояние  счетчика  меняется   по   положительным
перепадам счетных импульсов от низкого уровня к высокому на каждом  из  этих
тактовых входов.
    Для упрощения  построения  счетчиков  с  числом  разрядов,  превышающих
четыре, обе микросхемы имеют выводы окончания счета  на  увеличение  ([pic],
вывод 12) и на  уменьшение  ([pic],  вывод  13).  От  этих  выводов  берутся
тактовые  сигналы  переноса  для  последующего  и   заема   от   предыдущего
четырехразрядного  счетчика.  Дополнительной  логики  при   последовательном
соединении этих счетчиков не требуется:  выводы  [pic]  и  [pic]  предыдущей
микросхемы  присоединяются  к  выводам  CU  и  CD  последующей.  По   входам
разрешения параллельной загрузки  [pic]  и  сброса  R  запрещается  действие
тактовой последовательности  и  даются  команды  загрузки  четырехразрядного
кода в счетчик или его сброса.



    В микросхемах ИЕ6 и ИЕ7 счетчики основаны  на  четырех  двухступенчатых
триггерах «мастер-помощник».  Десятичный  счетчик  отличается  от  двоичного
внутренней логикой, управляющей  триггерами.  Счетчики  можно  переводить  в
режимы  сброса,  параллельной  загрузки,  а  также  счета  на  увеличение  и
уменьшение.
    Если на вход  СD  подается  импульсный  перепад  от  низкого  уровня  к
высокому (дается команда на уменьшение  -  down),  от  содержимого  счетчика
вычитается  1.  Аналогичный  перепад,  поданный  на  входе  СU,  увеличивает
результат счета на 1. Если для счета используется один из  этих  входов,  на
другом тактовом входе следует зафиксировать напряжение высокого  логического
уровня.  Первый  триггер  счетчика  не  может  переключиться,  если  на  его
тактовом входе зафиксировано напряжение низкого уровня. Во избежание  ошибок
менять направление счета  следует  в  моменты,  когда  запускающий  тактовый
импульс перешел на высокий уровень.
    На выходах [pic] (окончание счета на  увеличение,  вывод  12)  и  [pic]
(окончание счета на уменьшение, вывод  13)  нормальный  уровень  -  высокий.
Если счет достиг максимума (цифра 9 для  ИЕ6  и  15  для  ИЕ7),  с  приходом
следующего тактового перепада на  вход  СU  от  высокого  уровня  к  низкому
(более 9 или более 15) на выходе [pic] появится низкое напряжение.
    Аналогично на выходе [pic] появляется напряжение низкого  уровня,  если
на вход СD пришел счетный  перепад низкого уровня.  Импульсные  перепады  от
выходов [pic] и [pic] служат, таким образом, как  тактовые  для  последующих
входов СU и CD при конструировании счетчиков более высокой разрядности.
    Если на вход разрешения параллельной загрузки [pic] (вывод  11)  подать
напряжение низкого уровня, то код,  зафиксированный  ранее  на  параллельных
входах D0 - D3 (выводы 15, 1, 10 и 9), загружается в счетчик   и  появляется
на его выходах Q0 - Q3 (выводы 3, 2,  6 



Назад


Новые поступления

Украинский Зеленый Портал Рефератик создан с целью поуляризации украинской культуры и облегчения поиска учебных материалов для украинских школьников, а также студентов и аспирантов украинских ВУЗов. Все материалы, опубликованные на сайте взяты из открытых источников. Однако, следует помнить, что тексты, опубликованных работ в первую очередь принадлежат их авторам. Используя материалы, размещенные на сайте, пожалуйста, давайте ссылку на название публикации и ее автора.

281311062 © il.lusion,2007г.
Карта сайта